Librería Portfolio Librería Portfolio

Búsqueda avanzada

TIENE EN SU CESTA DE LA COMPRA

0 productos

en total 0,00 €

ELECTRONIC DESIGN AUTOMATION FOR IC IMPLEMENTATION, CIRCUIT DESIGN, AND PROCESS TECHNOLOGY
Título:
ELECTRONIC DESIGN AUTOMATION FOR IC IMPLEMENTATION, CIRCUIT DESIGN, AND PROCESS TECHNOLOGY
Subtítulo:
Autor:
LAVAGNO, L
Editorial:
CRC
Año de edición:
2016
ISBN:
978-1-4822-5460-0
Páginas:
786
166,00 €

 

Sinopsis

Features

Provides practical guidance for implementing and verifying an electronic design automation (EDA) system for integrated circuit (IC) design
Describes the algorithms and tools most relevant to EDA for each topic
Contains new coverage, major updates, and significant revisions that depict meaningful advancements made in the decade since the publication of the previous edition
Addresses real-time logic (RTL) to GDSII design flow, analog/mixed signal design, physical verification, and technology computer-aided design (TCAD)
Includes chapters authored by leading experts from industry and academia
Discusses design for manufacturability (DFM) at the nanoscale, power supply network design and analysis, design modeling, and much more
Summary

The second of two volumes in the Electronic Design Automation for Integrated Circuits Handbook, Second Edition, Electronic Design Automation for IC Implementation, Circuit Design, and Process Technology thoroughly examines real-time logic (RTL) to GDSII (a file format used to transfer data of semiconductor physical layout) design flow, analog/mixed signal design, physical verification, and technology computer-aided design (TCAD). Chapters contributed by leading experts authoritatively discuss design for manufacturability (DFM) at the nanoscale, power supply network design and analysis, design modeling, and much more.

New to This Edition:

Major updates appearing in the initial phases of the design flow, where the level of abstraction keeps rising to support more functionality with lower non-recurring engineering (NRE) costs
Significant revisions reflected in the final phases of the design flow, where the complexity due to smaller and smaller geometries is compounded by the slow progress of shorter wavelength lithography
New coverage of cutting-edge applications and approaches realized in the decade since publication of the previous edition-these are illustrated by new chapters on 3D circuit integration and clock design
Offering improved depth and modernity, Electronic Design Automation for IC Implementation, Circuit Design, and Process Technology provides a valuable, state-of-the-art reference for electronic design automation (EDA) students, researchers, and professionals.





Table of Contents

RTL TO GDSII, OR SYNTHESIS, PLACE, AND ROUTE

Design Flows
David Chinnery, Leon Stok, David Hathaway, and Kurt Keutzer

Logic Synthesis
Sunil P. Khatri and Narendra V. Shenoy

Power Analysis and Optimization from Circuit to Register-Transfer Levels
José Monteiro, Rakesh Patel, and Vivek Tiwari

Equivalence Checking
Andreas Kuehlmann and Fabio Somenzi

Digital Layout: Placement
Andrew B. Kahng and Sherief Reda

Static Timing Analysis
Jordi Cortadella and Sachin S. Sapatnekar

Structured Digital Design
Minsik Cho, Mihir Choudhury, Ruchir Puri, Haoxing Ren, Hua Xiang, Gi-Joon Nam, Fan Mo, and Robert K. Brayton

Routing
Gustavo E. Téllez, Jin Hu, and Yaoguang Wei

Physical Design for 3D ICs
Sung-Kyu Lim

Gate Sizing
Stephan Held and Jiang Hu

Clock Design and Synthesis
Matthew R. Guthaus

Exploring Challenges of Libraries for Electronic Design
James Hogan, Scott T. Becker, and Neal Carney

Design Closure
Peter J. Osler, John M. Cohn, and David Chinnery

Tools for Chip-Package Codesign
Paul D. Franzon and Madhavan Swaminathan

Design Databases
Mark Bales

FPGA Synthesis and Physical Design
Mike Hutton, Vaughn Betz, and Jason Anderson

ANALOG AND MIXED-SIGNAL DESIGN

Simulation of Analog and RF Circuits and Systems
Jaijeet Roychowdhury and Alan Mantooth

Simulation and Modeling for Analog and Mixed-Signal Integrated Circuits
Georges G.E. Gielen and Joel R. Phillips

Layout Tools for Analog Integrated Circuits and Mixed-Signal Systems-on-Chip: A Survey
Rob A. Rutenbar, John M. Cohn, Mark Po-Hung Lin, and Faik Baskaya

PHYSICAL VERIFICATION

Design Rule Checking
Robert Todd, Laurence Grodd, Jimmy Tomblin, Katherine Fetty, and Daniel Liddell

Resolution Enhancement Techniques and Mask Data Preparation
Franklin M. Schellenberg

Design for Manufacturability in the Nanometer Era
Nicola Dragone, Carlo Guardiani, and Andrzej J. Strojwas

Design and Analysis of Power Supply Networks
Rajendran Panda, Sanjay Pant, David Blaauw, and Rajat Chaudhry

Noise in Digital ICs
Igor Keller and Vinod Kariat

Layout Extraction
William Kao, Chi-Yuan Lo, Mark Basel, Raminderpal Singh, Peter Spink, and Louis K. Scheffer

Mixed-Signal Noise Coupling in System-on-Chip Design: Modeling, Analysis, and Validation
Nishath Verghese and Makoto Nagata

TECHNOLOGY CAD

Process Simulation
Mark D. Johnson

Device Modeling: From Physics to Electrical Parameter Extraction
Robert W. Dutton, Chang-Hoon Choi, and Edwin C. Kan

High-Accuracy Parasitic Extraction
Mattan Kamon and Ralph Iverson